zahangir telecom

Samsung, iPhone, Blackberry, Nokia, Sony, HTC , Symphony, Walton, Micromax ,Lava , Oppo, Lenovo , Okapia , Maximus , aamra , mycell , Zalta , or any kinds of mobile flash or country lock Open, ZAHANGIR TELECOM call: +8801736822422 Email-zahangirtelecom18@gmail.com


আমাদের এই ব্লগে সকল ফাইল টেস্ট করে পোস্ট করা হয়। তার পরও যদি আমাদের কোন ফাইলে সমস্যা দেখা দেয়, তাহলে বিষয়টি কর্তৃপক্ষকে জানানোর জন্য বিশেষভাবে অনুরোধ করছি. আমরা চেষ্টা করব আপনাদের best service দেওয়ার জন্য । ধন্যবাদ। মোবাঃ +8801736822422 Email-zahangirtelecom18@gmail.com .........


Showing posts with label SYMPHONY V65 FLASH FILE (V65_HW1_V7) SC7731 6.0 100% TESTED FIRMWARE. Show all posts
Showing posts with label SYMPHONY V65 FLASH FILE (V65_HW1_V7) SC7731 6.0 100% TESTED FIRMWARE. Show all posts

Sunday 6 August 2017

SYMPHONY V65 FLASH FILE (V65_HW1_V7) SC7731 6.0 100% TESTED FIRMWARE BY ZAHANGIR TELECOM

SYMPHONY V65 FLASH FILE (V65_HW1_V7) SC7731 6.0 100% TESTED FIRMWARE

                                   SP7731CEA_V65_6.0_V65_HW1_V7_V65 CM2

https://drive.google.com/open?id=0BzGtfZG1CvvhazFYRFVVYS1NWDg





                              SP7731CEA_V65_6.0_V65_HW1_V7_V65 PAC


https://drive.google.com/open?id=0BzGtfZG1Cvvhc1ZxVWNhZEp5TVE





Model Name  : V65
Model Brand : Symphony
Model Device: V65
AndrVersion : 6.0
BaseBand CPU: SP7731CEA
Project Ver : V65_HW1_V7
Model Ext   : V65

[Read Mode] : HSUSB : 0x3000

[FW_READER] : Reading Firmware Now ...

[Read Ok] : u-boot-spl-16k.bin
[Read Ok] : u-boot.bin
[Read Ok] : prodnv.img
[Read Ok] : sc7731C_CP0_modem.bin
[Read Ok] : DSP_DM_Gx.bin
[Read Ok] : nvitem_w.bin
[Read Ok] : sc8830g_modem_CP2_WCN_Trunk_W16.28.5_Release.bin
[Read Ok] : nvitem_wcn.bin
[Read Ok] : logo_480x854.bin
[Read Ok] : fblogo_480x854.bin
[Read Ok] : boot.img
[Read Ok] : system.img
[Read Ok] : sysinfo.img
[Build Ok] : cache.img
[Read Ok] : recovery.img
[Build Ok] : userdata.img

AndroidInfo created
Firmware Size : 2136 MiB
Saved to : C:\Users\MD ZAHANGIR\Desktop\New folder\SP7731CEA_V65_6.0_V65_HW1_V7_V65\
Done!

Elapsed: 00:12:20